You are required to read and agree to the below before accessing a full-text version of an article in the IDE article repository.

The full-text document you are about to access is subject to national and international copyright laws. In most cases (but not necessarily all) the consequence is that personal use is allowed given that the copyright owner is duly acknowledged and respected. All other use (typically) require an explicit permission (often in writing) by the copyright owner.

For the reports in this repository we specifically note that

  • the use of articles under IEEE copyright is governed by the IEEE copyright policy (available at http://www.ieee.org/web/publications/rights/copyrightpolicy.html)
  • the use of articles under ACM copyright is governed by the ACM copyright policy (available at http://www.acm.org/pubs/copyright_policy/)
  • technical reports and other articles issued by M‰lardalen University is free for personal use. For other use, the explicit consent of the authors is required
  • in other cases, please contact the copyright owner for detailed information

By accepting I agree to acknowledge and respect the rights of the copyright owner of the document I am about to access.

If you are in doubt, feel free to contact webmaster@ide.mdh.se

Automated Test Case Generation for Digital System Designs: A Mapping Study on VHDL, Verilog and SystemVerilog Description Languages

Authors:

Ashish Alape Vivekananda , Eduard Paul Enoiu

Publication Type:

Journal article

Venue:

MDPI Designs Journal


Abstract

Researchers have proposed different methods for testing digital systems and circuits in the last couple of decades. The need for testing digital logic circuits has become more important than ever due to the growing complexity of such systems. During the design phase, testing is focusing on design defects as well as manufacturing and wear out type of defects. Failures in digital systems could be caused, for example, by design errors, the use of inherently probabilistic devices and manufacturing variability. As a way to test digital systems in a more efficient way, automated test generation has been proposed to automatically create tests that can quickly and accurately identify faulty components. Examples of such techniques are the sequential test generation, the scan path testing and the random test generation techniques. With the research domain becoming more mature and growing, it is essential to systematically identify, analyse and classify these contributions. We perform a systematic mapping study of automated test generation for digital circuits aimed at providing an overview of the application of these techniques. We focus on three of the most widely-used and well-supported hardware description languages (HDLs) for digital systems: Verilog, SystemVerilog and VHDL. Our results suggest that the majority of the test generation methods for digital circuits are focused on the behavioral and register-transfer design levels. Fault-independent and fault-oriented test generation are the most frequently reported types of test generation methods, while HDL model simulation is the most common test generation technology used to search for test cases in these academic studies. While the results are suggesting a growing interest in this area, the majority of articles are published as conferences papers. Our results show that only 31% of the methods are implemented as software tools and only 63% of all contributions are actually generating executable test cases. This study makes three important contributions, (i) a state-of-the-art of test generation for digital system designs research is provided, (ii) the reported characteristics are identified in both the primary papers and experimental reports, (iii) gaps and opportunities for future test generation for digital system designs research are identified.

Bibtex

@article{Alape Vivekananda5871,
author = {Ashish Alape Vivekananda and Eduard Paul Enoiu},
title = {Automated Test Case Generation for Digital System Designs: A Mapping Study on VHDL, Verilog and SystemVerilog Description Languages},
volume = {1},
month = {August},
year = {2020},
journal = {MDPI Designs Journal},
url = {http://www.es.mdu.se/publications/5871-}
}