Publications

Type: Date:
Scope:
Author:

Number of publications matching the search criterion: 109 (showing 1 - 50)

Book (1)

Hardware Architectures for Deep Learning (May 2020)
Masoud Daneshtalab, Mehdi Modarressi
Institution of Engineering and Technology (IET)

Book chapter (4)

Computation reuse-aware accelerator for neural networks (May 2020)
Hoda Mahdiani , Alireza Khadem , Ali Yasoubi , Azam Ghanbari , Mehdi Modarressi , Masoud Daneshtalab
Institution of Engineering and Technology (IET)

Hardware Acceleration for Recurrent Neural Networks (May 2020)
Sima Sinaei, Masoud Daneshtalab
Institution of Engineering and Technology (IET)

Feedforward Neural Networks on Massively Parallel Architectures (May 2020)
Reza Hojabr , Ahmad Khonsari , Mehdi Modarressi , Masoud Daneshtalab
Institution of Engineering and Technology (IET)

Multiobjectivism in Dark Silicon Age (Apr 2018)
Amin Rezaei , Masoud Daneshtalab, Hai Zhou
Elsevier Advances in Computers (Computers)

Journal article (29)

A Systematic Literature Review on Hardware Reliability Assessment Methods for Deep Neural Networks (Jan 2024)
Mohammad Ahmadilivani , Mahdi Taheri , Jaan Raik , Masoud Daneshtalab, Maksim Jenihhin
ACM Computing Surveys (CSUR)

DASS: Differentiable Architecture Search for Sparse Neural Networks (Sep 2023)
Seyedhamidreza Mousavi, Mohammad Loni, Mina Alibeigi , Masoud Daneshtalab
ACM Transactions on Embedded Computing Systems (TECS 2024)

Supporting End-to-end Data Propagation Delay Analysis for TSN-based Distributed Vehicular Embedded Systems (Aug 2023)
Bahar Houtan, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen
Journal of Systems Architecture, 2023 (JSA)

Accurate detection of paroxysmal atrial fibrillation with certified-GAN and neural architecture search (Jul 2023)
Mehdi Asadi , Fatemeh Poursalim , Mohammad Loni, Masoud Daneshtalab, Mikael Sjödin, Arash Ghareh Baghi
Nature Scientific Reports 13 (Sci. Rep. 13)

A Comprehensive Systematic Review of Integration of Time Sensitive Networking and 5G Communication (Feb 2023)
Zenepe Satka, Mohammad Ashjaei, Hossein Fotouhi, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen
Journal of Systems Architecture, 2023 (JSA)

FaCT-LSTM: Fast and Compact Ternary Architecture for LSTM Recurrent Neural Networks (Jun 2022)
Najmeh Nazari , Seyed Ahmad Mirsalari , Sima Sinaei, Mostafa Salehi , Masoud Daneshtalab
IEEE Design and Test (IEEE D&T)

FastStereoNet: A Fast Neural Architecture Search for Improving the Inference of Disparity Estimation on Resource-Limited Platforms (Nov 2021)
Mohammad Loni, Ali Zoljodi, Amin Majd , Byung Hoon Ahn , Masoud Daneshtalab, Mikael Sjödin, Hadi Esmaeilzadeh
IEEE Transactions on Systems, Man, and Cybernetics: Systems (SMCS)

Time-Sensitive Networking in Automotive Embedded Systems: State of the Art and Research Opportunities (Sep 2021)
Mohammad Ashjaei, Lucia Lo Bello , Masoud Daneshtalab, Gaetano Patti , Sergio Saponara , Saad Mubeen
Journal of Systems Architecture, 2021 (JSA)

Guest Editorial: Special Issue on Parallel, Distributed, and Network-Based Processing in Next-generation Embedded Systems (Aug 2021)
Saad Mubeen, Lucia Lo Bello , Masoud Daneshtalab, Sergio Saponara
Journal of Systems Architecture, 2021 (JSA)

A software implemented comprehensive soft error detection method for embedded systems (Sep 2020)
Seyyed Amir Asghari , Mohammadreza Binesh Marvasti , Masoud Daneshtalab
Elsevier journal of Microprocessors and Microsystems (MICPRO)

Improving Motion Safety and Efficiency of Intelligent Autonomous Swarm of Drones (Aug 2020)
Amin Majd , Mohammad Loni, Golnaz Sahebi , Masoud Daneshtalab
Drones (Drones)

A Review on Deep Learning Methods for ECG Arrhythmia Classification (Jun 2020)
Zahra Ebrahimi , Mohammad Loni, Masoud Daneshtalab, Arash Ghareh Baghi
Expert Systems with Applications: X (ESWA: X)

NOM: Network-On-Memory for Inter-Bank Data Transfer in Highly-Banked Memories (May 2020)
Seyyed Hossein Seyyedaghaei Rezaei , Mehdi Modarressi , Rachata Ausavarungnirun , Mohammad Sadrosadati , Onur Mutlu , Masoud Daneshtalab
IEEE Computer Architecture Letters (CAL)

DeepMaker: A Multi-Objective Optimization Framework for Deep Neural Networks in Embedded Systems (Jan 2020)
Mohammad Loni, Sima Sinaei, Ali Zoljodi, Masoud Daneshtalab, Mikael Sjödin
Elsevier journal of Microprocessors and Microsystems (MICPRO)

ΔNN: Power-efficient Neural Network Acceleration using Differential Weights (Dec 2019)
Hoda Mahdiani , Alireza Khadem , Azam Ghanbari , Mehdi Modarressi , Farima Fattahi-bayat , Masoud Daneshtalab
IEEE MICRO (MICRO)

Defender: A Low Overhead and Efficient Fault-Tolerant Mechanism for Reliable On-Chip Router (Oct 2019)
NAVEED KHAN BALOCH , MUHAMMAD IRAM BAIG , Masoud Daneshtalab
Journal of IEEE Access (IEEE-Access)

An energy-efficient partition-based XYZ-planar routing algorithm for a wireless network-on-chip (Dec 2018)
Fahimeh Yazdanpanah , Raheel Afsharmazayejani , Amin Rezaei , Masoud Daneshtalab
The Journal of Supercomputing (Supercomputing)

A General Methodology on Designing Acyclic Channel Dependency Graphs in Interconnection Networks (Mar 2018)
Masoumeh Ebrahimi , Masoud Daneshtalab
IEEE MICRO (MICRO)

Parallel imperialist competitive algorithms (Jan 2018)
Amin Majd , Golnaz Sahebi , Masoud Daneshtalab, Juha Plosila , Shahriar Lotfi , Hannu Tenhunen
Journal of Concurrency and Computation: Practice and Experience (JCC)

Customizing Clos Network-on-Chip for Neural Networks (Nov 2017)
Reza Hojabr , Mehdi Modarressi , Masoud Daneshtalab, Ali Yasoubi , Ahmad Khonsari
IEEE Transaction on Computers (IEEE TC)

CAP-W: Congestion-aware platform for wireless-based network-on-chip in many-core era (Jun 2017)
Amin Rezaei , Masoud Daneshtalab, Danella Zhao
Elsevier journal of Microprocessors and Microsystems (MICPRO)

TransMap: Transformation Based Remapping and Parallelism for High Utilization and Energy Efficiency in CGRAs (Nov 2016)
syed M. A. H. Jafri , Masoud Daneshtalab, Naeem Abbas , Guillermo Serrano Leon , Ahmed Hemani
IEEE Transaction on Computers (IEEE TC)

A pareto-optimal runtime power budgeting scheme for many-core systems (Oct 2016)
Xiaohang Wang , Baoxin Zhao , Ling Wang , Terrence Mak , Mei Yang , Masoud Daneshtalab
Elsevier journal of Microprocessors and Microsystems (MICPRO)

On Fine-Grained Runtime Power Budgeting for Networks-on-Chip Systems (Sep 2016)
Xiaohang Wang , Baoxin Zhao , Terrence Mak , Mei Yang , Masoud Daneshtalab
IEEE Transaction on Computers (IEEE TC)

Many-core System-on-Chip: architectures and applications (Jun 2016)
Mohamed Bakhouya , Masoud Daneshtalab, Maurizio Palesi , Hassan Ghasemzadeh
Elsevier journal of Microprocessors and Microsystems (MICPRO)

Introduction to the Special Section on On-chip parallel and network-based systems (May 2016)
Hamid Sarbazi-Azad , Nader Bagherzadeh , Masoumeh Ebrahimi , Masoud Daneshtalab
Physical Communication (Springer) (PHYSICCOM)

Hierarchical approach for hybrid wireless Network-on-chip in many-core era (May 2016)
Amin Rezaei , Masoud Daneshtalab, Farshad Safaei , Danella Zhao
Physical Communication (Springer) (PHYSICCOM)

Non-Blocking Testing for Network-on-Chip (Mar 2016)
Letian Huang , Junshi Wang , Masoumeh Ebrahimi , Masoud Daneshtalab, Xiaofan Zhang , Guangjun Li , Axel Jantsch
IEEE Transaction on Computers (IEEE TC)

Special issue on energy efficient methods and systems in the emerging cloud era (Feb 2016)
Maurizio Palesi , Mario Collotta , Masoud Daneshtalab, Pradip Bose
Journal of Computer and System Sciences (JCSS)

Conference/Workshop Paper (72)

Bandwidth Reservation Analysis for Schedulability of AVB Traffic in TSN
Bahar Houtan, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen
the 25th IEEE Internetional Conference on Industrial Technology (ICIT'24)

Analysis and Improvement of Resilience for Long Short-Term Memory Neural Networks (Oct 2023)
Mohammad Ahmadilivani , Jaan Raik , Masoud Daneshtalab, Alar Kuusik
36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2023)

Efficient On-device Transfer Learning using Activation Memory Reduction (Sep 2023)
Amin Yoosefi , Seyedhamidreza Mousavi, Masoud Daneshtalab, Mehdi Kargahi
International Conference on Fog and Mobile Edge Computing (FMEC)

A Qualitative Comparison Model for Application Layer IoT Protocols
Syed Kakakhel , Tomi Westerlund , Masoud Daneshtalab, Zhuo Zou , Juha Plosila , Hannu Tenhunen
International Conference on Fog and Mobile Edge Computing (FMEC)

DASS: Differentiable Architecture Search for Sparse Neural Networks (Sep 2023)
Seyedhamidreza Mousavi, Mohammad Loni, Mina Alibeigi , Masoud Daneshtalab
EMBEDDED SYSTEMS WEEK (ESWEEK 2023)

Comparative Evaluation of Various Generations of Controller Area Network Based on Timing Analysis (Sep 2023)
Aldin Berisa, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Adis Panjevic , Imran Kovac , Hans Lyngbäck , Saad Mubeen
28th International Conference on Emerging Technologies and Factory Automation (ETFA 2023)

FARMUR: Fair Adversarial Retraining to Mitigate Unfairness in Robustness
Seyed Ali Mousavi , Seyedhamidreza Mousavi, Masoud Daneshtalab
Advances in Databases and Information Systems (ADBIS 2023)

Analysing robustness of tiny deep neural networks
Seyedhamidreza Mousavi, Ali Zoljodi, Masoud Daneshtalab
Advances in Databases and Information Systems (ADBIS 2023)

Evaluating the robustness of ML models to out-of-distribution data through similarity analysis (Sep 2023)
Joakim Lindén, Håkan Forsberg, Ingemar Söderquist , Masoud Daneshtalab
1st Workshop on Advanced AI Techniques for Data Management and Analytics (AIDMA)

Enhancing Fault Resilience of QNNs by Selective Neuron Splitting (Jun 2023)
Mohammad Ahmadilivani , Javid Taheri , Jaan Raik , Maksim Jenihhin , Masoud Daneshtalab
5th IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS) (AICAS 2023)

Investigating and Analyzing CAN-to-TSN Gateway Forwarding Techniques (May 2023)
Aldin Berisa, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen
2023 IEEE 25th International Symposium on Real Time Distributed Computing (ISORC) (ISORC'23)

DeepVigor: Vulnerability Value Ranges and Factors for DNNs' Reliability Assessment
Mohammad Ahmadilivani , Mahdi Taheri , Jaan Raik , Masoud Daneshtalab, Maksim Jenihhin
European Test Symposium 2023 (IEEE ETS)

End-to-end Timing Modeling and Analysis of TSN in Component-Based Vehicular Software (May 2023)
Bahar Houtan, Mehmet Onur Aybek , Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, John Lundbäck , Saad Mubeen
2023 IEEE 25th International Symposium on Real Time Distributed Computing (ISORC) (ISORC'23)

NeuroPIM: Flexible Neural Accelerator for Processing-in-Memory Architectures (May 2023)
Ali Monavari , Sepideh Fattahi , Mehdi Modarressi , Masoud Daneshtalab
International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS)

APPRAISER: DNN Fault Resilience Analysis Employing Approximation Errors (May 2023)
Mahdi Taheri , Mohammad Ahmadilivani , Maksim Jenihhin , Masoud Daneshtalab, Jaan Raik
International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS)

DeepAxe: A Framework for Exploration of Approximation and Reliability Trade-offs in DNN Accelerators
Mahdi Taheri , Mohammad Riazati, Mohammad Ahmadilivani , Maksim Jenihhin , Masoud Daneshtalab, Jaan Raik , Mikael Sjödin, Björn Lisper
International Symposium on Quality Electronic Design (ISQED 2023)

Items per page: